Nanoimprint Lithography

From Lumipedia
Revision as of 19:40, 21 March 2017 by Admin (talk | contribs) (Hard and soft stamps)

Jump to: navigation, search

Helmut Schift

Paul Scherrer Institut, Laboratory for Micro- and Nanotechnology, ODRA/103, 5232 Villigen PSI, Switzerland.



NanoImprint Lithography (henceforth NIL) is a high throughput, high-resolution parallel patterning method in which a surface pattern of a stamp is replicated into a material by mechanical contact and 3D material displacement. This can be done by shaping a liquid followed by a curing process for hardening, by variation of the thermomechanical properties of a film by heating and cooling, or by any other kind of shaping process using the difference in hardness of a mould and a mouldable material. The local thickness contrast of the resulting thin moulded film can be used as a means to pattern an underlying substrate on wafer level by standard pattern transfer methods, but also directly in applications where a bulk modified functional layer is needed. Therefore it is mainly aimed toward fields in which electron beam and high-end photolithography are costly and do not provide sufficient resolution at reasonable throughput. NIL is used or is currently tested for high volume manufacturing in different applications, such as semiconductor integrated circuit chips bit patterned media, patterns sapphire substrates, wire grid polarizers, photonic devices, and will play a key role in future biochips.

1 Introduction

For current and future applications with nanopatterned surfaces and functional devices, high-resolution parallel patterning methods are required that offers cost-effective manufacturing. Nanoimprint lithography (NIL) is the most prominent of the new lithographic techniques with proven resolution down to the nanometer range which can be scaled up for large areas and high throughput. It is called lithography because its main focus is to become a next generation lithography intended for high volume manufacturing (HVM) of integrated circuit (IC) chips. Unlike electron- or photon-based lithography, it works by replicating a surface topography of a stamp into a viscous material by direct mechanical contact and 3D material displacement [1]. Yet NIL is still similar enough to standard lithography to permit the use of the same manufacturing and technology base: it uses stamps made by advanced lithography and silicon process technology, a thin polymer resist for pattern transfer into the underlying substrate by etching or onto it by electroplating, and imprint tools which still have significant resemblance to mask aligners, photolithographic steppers and anodic bonding tools. Apart from NIL directed towards lithography, process solutions arise which are more related to polymer moulding, such as continuous foil patterning using roll-to-roll (R2R) processes and generation of entire polymer elements by thermal injection moulding (TIM). With the advent of patterning hybrid (e.g., nano- on microstructures) or 3D structures (surface topographies or free-form shapes) there will be a range of other methods which open up the NIL processes towards many more process variants. Most of them will still have some resemblance to NIL, others will take advantage of single NIL steps, e.g., for the fabrication of stamp copies or functional structures which can be inserted into tools, e.g. for TIM. The aim of this introduction to present NIL as a basic process being able to replace standard photolithography (PL), where a resist layer is patterned by mechanical means instead of exposure and wet development, but also show that functional materials (e.g., photo- or bio-active materials) can be directly patterned leading to an enhanced functionality of a surface. Main references to this introduction are chapters on NIL in the Springer Handbook of Nanotechnology [2] which gives a good overview about the process chains needed for understanding, and the developed of NIL towards high throughput manufacturing in CRC Microlithography - Science and Technology [3], with a range of references on state-of-the-art techniques and companies.


2 History

NIL was invented and demonstrated in the 1970s by Susumu Fujimori at NTT in Japan [4], but it was not until 1995 when Stephen Chou and co-workers at the University of Minnesota (later at Princeton University) published their first results that NIL started to gain broader attention, by demonstrating 10 nm-imprint capabilities at a time when 50 nm was considered the resolution limit of PL [5, 6]. At the same time, NIL was developed by Jan Haisma at Philips in Eindhoven [7]. NIL was considered as revolutionary – and still is, it has proven sub-nm resolution which is far ahead of other, photon based pattern techniques (see Figure 1). The NIL process is therefore both disruptive and evolutionary. It is considered disruptive because it uses mechanical contact of a stamp with a resist layer and displaces the material by squeeze flow and capillary action and therefore breaks the paradigm of non-contact in modern semiconductor IC-chip HVM which was possible by projecting photons and electrons onto a sensitive polymer film by optical means (lenses, mirrors, shadow masks) and chemical modification of the solubility. At the same time NIL is considered evolutionary, because NIL profits from a range of other technologies such as TIM, and silicon based micromachining, R2R processes are widely used for low-cost applications in packaging up to optical versatile counterfeit devices for security.

Figure 1. Micrographs showing the basic steps of NIL, demonstrated by S.Y. Chou. (a) NIL stamp in silicon with a 40 nm period array of pillars with 40 nm height, (b) imprinted 10 nm diameter holes in a thin polymer film (PMMA), (c) 10 nm metal dots after pattern transfer (lift-off), using the thin polymer layer as a mask

From the beginning NIL was considered as an alternative for traditional high-end deep ultraviolet (DUV) based PL for IC-chip fabrication, which defines the progress towards higher resolution with so-called “nodes” (design resolution). In 2003 NIL was named as one of the “ten emerging technologies that will change the world” by the MIT Technology Review, and the technique was added to the ITRS road- map for the 32 and 22 nm nodes. When it was time for the industry to switch to the 22 nm node, it chose to stick with PL. But NIL remains on the ITRS roadmap for smaller nodes and many believe that NIL can replace DUV-PL in manufacturing, as the main competitor to Extreme UV (EUV) PL. Different companies have contributed to the development of NIL for HVM, the most important based on a development at the University of Texas at Austin [8]. As “next generation lithography”, NIL is however not only used in areas where lithography is already established, but also in areas where patterning becomes affordable, including research areas where expensive processes could not be used. Important contributions to a wide field of applications were done via collective approaches, e.g. in European Large Scale projects such as NaPa and NaPANIL [9] and via national programmes in Korea, Japan, Taiwan and Singapore.

3 Technology

The NIL process is simply described by pressing a stamp with a surface topography into a material which undergoes displacement by squeeze flow (lateral flow induced “push” by pressing stamp protrusions into viscous material) or by capillary action (“pull” of material due to wetting and balance of surface energy) and can be moulded [1].Due to the fact that all structures are patterned at the same time, it is called “parallel”, in contrast to “serial” writing by electron-beam lithography (EBL). After the process is complete, the stamp is detached from the moulded material and thus the stamp demoulded. For this the material has to become mechanically robust (solid) that the surface topography is preserved during the following process steps. The final result is a surface which conforms to the original surface topography of the stamp, but which has, according to its purpose, to be extremely thin with a high thickness contrast between the areas in which the stamp protrusions were pressing the material and the cavities which were filled by the displaced material. Often in a second step, the thinner part (the residual layer) has to be removed before the film can be used as a resist for pattern transfer, i.e., the conversion of the resist topography into a different material, by additive or subtractive transfer into or onto the underlying substrate. The processes needed to fabricate stamps and do these pattern transfer processes, are quite similar to standard micro- and nanolithographic processesand will not be treated here. Several of the issues are included in the schematic in Figure 2. Therefore, strictly speaking, NIL is “lithography” only if a pattern transfer step is performed following imprint and demoulding. Such a pattern transfer is a conversion of the polymer layer into a different material by additive or subtractive patterning. In a general view, however, NIL is understood as a range of processes, and therefore often imprint into a functional polymer layer is often also called NIL.

Figure 2. Schematic of NIL processing involving origination (including tooling), replication and pattern transfer, the processes sequence known from photolithography (mask making, exposure, wet development and pattern transfer.

3.1 T-NIL and UV-NIL:

From the beginning, two major variants of NIL have been developed: Heat-assisted NIL (thermal or T-NIL) and UV-assisted NIL (UV-NIL). T-NIL is nearer to processes called hot embossing (mainly used for microstructures) and UV-NIL to PL.

  • In T-NIL a stamp is pressed into a thin thermoplastic film which is made viscous by heating, and after the film conforms to the topography of the stamp, the film is cooled down until it is solid and the stamp detached. The main characteristic of such as thermoplastic film is its glass transition temperature [math]T_{g}[/math], above which the film is transformed into the visco-elastic and then viscous state. Typically, higher temperatures than [math]T_{g}[/math] enable faster moulding at lower viscosity, but due to the fact that often the entire stack of stamp, film and substrate has to be heated and cooled within a thermocycle between the moulding and demoulding state, a large overhead has to be considered. Since the viscosities are in the range of [math]10^{3}[/math] to [math]10^{7}[/math] Pa s, the filling of moulds can often be described by squeeze flow. A typical thermoplastic polymer is poly(methly methacrylate) (PMMA) with a [math]T_{g}[/math] of 100-120°C,
  • UV-NIL uses a liquid pre-polymer with low viscosity with includes a photoinitator and a crosslinking agent. After filling, the material is chemically altered by exposing it, in most cases through the stamp, which by crosslinking, similar to negative photoresists. After hardening, it can be detached and the structures demoulded. Since viscosities are often in the range of [math]10^{-3}[/math] to [math]10^{-2}[/math] Pa s, capillary filling is responsible for stamp filling, which allows moulding at moderate pressures.

Both processes have advantages: There is a range of thermoplastic polymers which can be used for T-NIL, including functional polymers which can be used directly after being patterned. The ability to mould by using high pressures can also be used for alignment of polymer chains. Opaque stamps enable the use of silicon and metal stamps. In contrast to this, UV-NIL requires transparent stamps. Due to its compatibility with PL, UV-NIL is currently favoured for many enterprises for HVM. Not only that room temperature is an asset reducing cycle times and thermal impact on overlay and precision, but also resolution has been enhanced to below 100 nm by using soft stamps with adapted hardness (see below). Other processes such as pulsed NIL enable fast T-NIL, too, but require stamps with integrated direct resistive heating at the surface. For high end applications, requiring overlay of different lithographic steps down to below 5 nm, extreme control of thermal expansion and mechanical deformation has to be done.

3.2 Hard and soft stamps

Stamp hardness and flexibility are essential for achieving high resolution, but also to enable patterning of non-planar substrates with surface undulations over large and small distances and even print over defects.

  • Hard stamps (made from silicon, quartz or metal) exhibit highest resolution and enable long lifetimes and low defectivity. However, they are also prone to damage if imprinted on particles (e.g. ceramic particles released due to mechanical friction) which cannot be deformed, since they are often harder than the stamp material.
  • Soft stamps are known for their ability to enable conformal contact at low pressures. Since they are often made from polymers or composed of different layers, they involve a copying from hard masters, e.g., by moulding elastomeric or thermoplastic materials. A typical elastomeric material is poly(dimethyl siloxane) (PDMS). Resolution is dependent on the mechanical properties and can often be tuned. Often a trade-off has to be found, and hybrid solutions (high stiffness at the stamp protrusions and soft backbone) need to be employed. Because of its wide use, also in microcontact printing (µCP), processes using soft stamps are called “soft lithography" [11].

Both processes have different advantages. Even hard stamps exhibit a flexibility which is needed for accommodating surface undulations and inhomogeneity of surface patterns. For specific NIL processes such as surface conformal imprint lithography (SCIL), inflatable moulds have been developed which enable the subsequent patterning from one side of an extended substrate to the other while squeezing out the air to one side. In addition, the demoulding is done by retracting the stamp stepwise from the substrate by peeling [12]. Today, almost all applications heading for HVM employ stamp copying, i.e., the multiplication of stamps by NIL, needed for backup of valuable master stamps or simply as replacement after a certain threshold of defects has been reached. Some companies even use a new stamp copy for each individual imprint, enabling to remove particle contamination within the stamp by incorporation.

3.3 Full wafer single imprint or step-and-repeat (S&R) NIL: