Nanoimprint Lithography

From Lumipedia
Jump to: navigation, search

Helmut Schift

Paul Scherrer Institut, Laboratory for Micro- and Nanotechnology, ODRA/103, 5232 Villigen PSI, Switzerland.



NanoImprint Lithography (henceforth NIL) is a high throughput, high-resolution parallel patterning method in which a surface pattern of a stamp is replicated into a material by mechanical contact and 3D material displacement. This can be done by shaping a liquid followed by a curing process for hardening, by variation of the thermomechanical properties of a film by heating and cooling, or by any other kind of shaping process using the difference in hardness of a mould and a mouldable material. The local thickness contrast of the resulting thin moulded film can be used as a means to pattern an underlying substrate on wafer level by standard pattern transfer methods, but also directly in applications where a bulk modified functional layer is needed. Therefore it is mainly aimed toward fields in which electron beam and high-end photolithography are costly and do not provide sufficient resolution at reasonable throughput. NIL is used or is currently tested for high volume manufacturing in different applications, such as semiconductor integrated circuit chips bit patterned media, patterns sapphire substrates, wire grid polarizers, photonic devices, and will play a key role in future biochips.



1 Introduction

For current and future applications with nanopatterned surfaces and functional devices, high-resolution parallel patterning methods are required that offers cost-effective manufacturing. Nanoimprint lithography (NIL) is the most prominent of the new lithographic techniques with proven resolution down to the nanometer range which can be scaled up for large areas and high throughput. It is called lithography because its main focus is to become a next generation lithography intended for high volume manufacturing (HVM) of integrated circuit (IC) chips. Unlike electron- or photon-based lithography, it works by replicating a surface topography of a stamp into a viscous material by direct mechanical contact and 3D material displacement [1]. Yet NIL is still similar enough to standard lithography to permit the use of the same manufacturing and technology base: it uses stamps made by advanced lithography and silicon process technology, a thin polymer resist for pattern transfer into the underlying substrate by etching or onto it by electroplating, and imprint tools which still have significant resemblance to mask aligners, photolithographic steppers and anodic bonding tools. Apart from NIL directed towards lithography, process solutions arise which are more related to polymer moulding, such as continuous foil patterning using roll-to-roll (R2R) processes and generation of entire polymer elements by thermal injection moulding (TIM). With the advent of patterning hybrid (e.g., nano- on microstructures) or 3D structures (surface topographies or free-form shapes) there will be a range of other methods which open up the NIL processes towards many more process variants. Most of them will still have some resemblance to NIL, others will take advantage of single NIL steps, e.g., for the fabrication of stamp copies or functional structures which can be inserted into tools, e.g. for TIM. The aim of this introduction to present NIL as a basic process being able to replace standard photolithography (PL), where a resist layer is patterned by mechanical means instead of exposure and wet development, but also show that functional materials (e.g., photo- or bio-active materials) can be directly patterned leading to an enhanced functionality of a surface. Main references to this introduction are chapters on NIL in the Springer Handbook of Nanotechnology [2] which gives a good overview about the process chains needed for understanding, and the developed of NIL towards high throughput manufacturing in CRC Microlithography - Science and Technology [3], with a range of references on state-of-the-art techniques and companies.

2 History

NIL was invented and demonstrated in the 1970s by Susumu Fujimori at NTT in Japan [4], but it was not until 1995 when Stephen Chou and co-workers at the University of Minnesota (later at Princeton University) published their first results that NIL started to gain broader attention, by demonstrating 10 nm-imprint capabilities at a time when 50 nm was considered the resolution limit of PL [5, 6]. At the same time, NIL was developed by Jan Haisma at Philips in Eindhoven [7]. NIL was considered as revolutionary – and still is, it has proven sub-nm resolution which is far ahead of other, photon based pattern techniques (see Figure 1). The NIL process is therefore both disruptive and evolutionary. It is considered disruptive because it uses mechanical contact of a stamp with a resist layer and displaces the material by squeeze flow and capillary action and therefore breaks the paradigm of non-contact in modern semiconductor IC-chip HVM which was possible by projecting photons and electrons onto a sensitive polymer film by optical means (lenses, mirrors, shadow masks) and chemical modification of the solubility. At the same time NIL is considered evolutionary, because NIL profits from a range of other technologies such as TIM, and silicon based micromachining, R2R processes are widely used for low-cost applications in packaging up to optical versatile counterfeit devices for security.

Figure 1. Micrographs showing the basic steps of NIL, demonstrated by S.Y. Chou. (a) NIL stamp in silicon with a 40 nm period array of pillars with 40 nm height, (b) imprinted 10 nm diameter holes in a thin polymer film (PMMA), (c) 10 nm metal dots after pattern transfer (lift-off), using the thin polymer layer as a mask

From the beginning NIL was considered as an alternative for traditional high-end deep ultraviolet (DUV) based PL for IC-chip fabrication, which defines the progress towards higher resolution with so-called “nodes” (design resolution). In 2003 NIL was named as one of the “ten emerging technologies that will change the world” by the MIT Technology Review, and the technique was added to the ITRS road- map for the 32 and 22 nm nodes. When it was time for the industry to switch to the 22 nm node, it chose to stick with PL. But NIL remains on the ITRS roadmap for smaller nodes and many believe that NIL can replace DUV-PL in manufacturing, as the main competitor to Extreme UV (EUV) PL. Different companies have contributed to the development of NIL for HVM, the most important based on a development at the University of Texas at Austin [8]. As “next generation lithography”, NIL is however not only used in areas where lithography is already established, but also in areas where patterning becomes affordable, including research areas where expensive processes could not be used. Important contributions to a wide field of applications were done via collective approaches, e.g. in European Large Scale projects such as NaPa and NaPANIL [9] and via national programmes in Korea, Japan, Taiwan and Singapore.

3 Technology

The NIL process is simply described by pressing a stamp with a surface topography into a material which undergoes displacement by squeeze flow (lateral flow induced “push” by pressing stamp protrusions into viscous material) or by capillary action (“pull” of material due to wetting and balance of surface energy) and can be moulded [1]. Due to the fact that all structures are patterned at the same time, it is called “parallel”, in contrast to “serial” writing by electron-beam lithography (EBL). After the process is complete, the stamp is detached from the moulded material and thus the stamp demoulded. For this the material has to become mechanically robust (solid) that the surface topography is preserved during the following process steps. The final result is a surface which conforms to the original surface topography of the stamp, but which has, according to its purpose, to be extremely thin with a high thickness contrast between the areas in which the stamp protrusions were pressing the material and the cavities which were filled by the displaced material. Often in a second step, the thinner part (the residual layer) has to be removed before the film can be used as a resist for pattern transfer, i.e., the conversion of the resist topography into a different material, by additive or subtractive transfer into or onto the underlying substrate. The processes needed to fabricate stamps and do these pattern transfer processes, are quite similar to standard micro- and nanolithographic processesand will not be treated here. Several of the issues are included in the schematic in Figure 2. Therefore, strictly speaking, NIL is “lithography” only if a pattern transfer step is performed following imprint and demoulding. Such a pattern transfer is a conversion of the polymer layer into a different material by additive or subtractive patterning. In a general view, however, NIL is understood as a range of processes, and therefore often imprint into a functional polymer layer is often also called NIL.

Figure 2. Schematic of NIL processing involving origination (including tooling), replication and pattern transfer, the processes sequence known from photolithography (mask making, exposure, wet development and pattern transfer.

3.1 T-NIL and UV-NIL

From the beginning, two major variants of NIL have been developed: Heat-assisted NIL (thermal or T-NIL) and UV-assisted NIL (UV-NIL). T-NIL is nearer to processes called hot embossing (mainly used for microstructures) and UV-NIL to PL.

  • In T-NIL a stamp is pressed into a thin thermoplastic film which is made viscous by heating, and after the film conforms to the topography of the stamp, the film is cooled down until it is solid and the stamp detached. The main characteristic of such as thermoplastic film is its glass transition temperature [math]T_{g}[/math], above which the film is transformed into the visco-elastic and then viscous state. Typically, higher temperatures than [math]T_{g}[/math] enable faster moulding at lower viscosity, but due to the fact that often the entire stack of stamp, film and substrate has to be heated and cooled within a thermocycle between the moulding and demoulding state, a large overhead has to be considered. Since the viscosities are in the range of [math]10^{3}[/math] to [math]10^{7}[/math] [Pa·s], the filling of moulds can often be described by squeeze flow. A typical thermoplastic polymer is poly(methly methacrylate) (PMMA) with a [math]T_{g}[/math] of 100-120°C,
  • UV-NIL uses a liquid pre-polymer with low viscosity with includes a photoinitator and a crosslinking agent. After filling, the material is chemically altered by exposing it, in most cases through the stamp, which by crosslinking, similar to negative photoresists. After hardening, it can be detached and the structures demoulded. Since viscosities are often in the range of [math]10^{-3}[/math] to [math]10^{-2}[/math] [Pa·s], capillary filling is responsible for stamp filling, which allows moulding at moderate pressures.

Both processes have advantages: There is a range of thermoplastic polymers which can be used for T-NIL, including functional polymers which can be used directly after being patterned. The ability to mould by using high pressures can also be used for alignment of polymer chains. Opaque stamps enable the use of silicon and metal stamps. In contrast to this, UV-NIL requires transparent stamps. Due to its compatibility with PL, UV-NIL is currently favoured for many enterprises for HVM. Not only that room temperature is an asset reducing cycle times and thermal impact on overlay and precision, but also resolution has been enhanced to below 100 nm by using soft stamps with adapted hardness (see below). Other processes such as pulsed NIL enable fast T-NIL, too, but require stamps with integrated direct resistive heating at the surface. For high end applications, requiring overlay of different lithographic steps down to below 5 nm, extreme control of thermal expansion and mechanical deformation has to be done.

3.2 Hard and soft stamps

Stamp hardness and flexibility are essential for achieving high resolution, but also to enable patterning of non-planar substrates with surface undulations over large and small distances and even print over defects.

  • Hard stamps (made from silicon, quartz or metal) exhibit highest resolution and enable long lifetimes and low defectivity. However, they are also prone to damage if imprinted on particles (e.g. ceramic particles released due to mechanical friction) which cannot be deformed, since they are often harder than the stamp material.
  • Soft stamps are known for their ability to enable conformal contact at low pressures. Since they are often made from polymers or composed of different layers, they involve a copying from hard masters, e.g., by moulding elastomeric or thermoplastic materials. A typical elastomeric material is poly(dimethyl siloxane) (PDMS). Resolution is dependent on the mechanical properties and can often be tuned. Often a trade-off has to be found, and hybrid solutions (high stiffness at the stamp protrusions and soft backbone) need to be employed. Because of its wide use, also in microcontact printing (µCP), processes using soft stamps are called “soft lithography" [11].

Both processes have different advantages. Even hard stamps exhibit a flexibility which is needed for accommodating surface undulations and inhomogeneity of surface patterns. For specific NIL processes such as surface conformal imprint lithography (SCIL), inflatable moulds have been developed which enable the subsequent patterning from one side of an extended substrate to the other while squeezing out the air to one side. In addition, the demoulding is done by retracting the stamp stepwise from the substrate by peeling [12]. Today, almost all applications heading for HVM employ stamp copying, i.e., the multiplication of stamps by NIL, needed for backup of valuable master stamps or simply as replacement after a certain threshold of defects has been reached. Some companies even use a new stamp copy for each individual imprint, enabling to remove particle contamination within the stamp by incorporation.

3.3 Full wafer single imprint or step-and-repeat (S&R) NIL

Wafer-like processes enable the use of the technology base provided by silicon based micromachining and cleanroom technology, which involves thin film technology, pattern transfer and compatibility with other lithography methods (for stamp manufacturing and for combination by mix-and-match).

  • Full wafer single imprint is the way how mask aligners are used. By employing a stamp with the same size than the substrate, the entire pattern of the stamp can be transferred in one step. Such large stamps can be produced using S&R, but also using large area processes such as interference lithography and combinations of different processes.
  • S&R processes are needed if a large area stamp cannot be provided or are too expensive. S&R NIL is a process near to modern stepper-based PL repeating the pattern of a small stamp in a regular array by step-wise patterning of individual (and identical fields) until the entire wafer is fully covered. This is applicable in chip based replication with entities equal or smaller to one stamp area. Pick-and-place would enable to use individual stamps for different areas but is rarely used.

A specific novelty for using S&R processes for NIL was the dispensing of the resist not by spin-coating a homogeneous film, but by ink-jetting droplets of a liquid resin. This allows a drop-on demand with specific density patterns adapted to the stamp design, enabling the use of hard stamps for resist films with good control of residual layer thickness. Since it was first adapted to IC-chip manufacturing using S&R it was coined step-and-flash IL (SFIL) and later renamed into jet-and-flash IL (JFIL), used exclusively by MII, now Canon Nanotechnology(CNT) [13].

Figure 3. Large area full wafer single imprint: 300 mm stamp and imprinted substrate, imprinted with an EVG 620HE thermal at CEA-LETI.

3.4 Continuous processing

Processes using bendable stamps or substrates are roll-to-roll or reel-to-reel (R2R) processes, including variants of roll-to-plate (R2P) and plate-to-roll (P2R) NIL and SCIL. By rotating a cylinder, its surface pattern can be transferred continuously over extreme lengths, simply by squeezing a thin substrate between the patterned roll and a counter roll and repeating the pattern of its circumference during several revolutions. They enable continuous processing, i.e. like in newspaper printing, are considered to have cost and processing advantages and are typically employed if speed and throughput have to be increased, but also if a constant quality over a large quantity of devices has to be achieved.

  • R2R-NIL uses a rotating cylinder to pattern flexible materials such as plastics or metal foils. If surface topographies are transferred, the term “roll embossing” is often used. The main challenge is to pattern the cylinder without a gap, which – according to the application – can lead to seamlines, e.g. within long distance optical elements.
  • R2P and P2R are used of either a roll is printed on a flat substrate or a flat stamp is imprinted on a continuous foil, which needs to be done in a discontinuous patterning using a S&R approach.

R2R processes are physically identical to non-continuous (sequential and stationary) processing and require similar processing times for pattern formation and transfer. Printing is done in the called “nip”, the area of the closest gap between the two cylinders. Their main advantage is, apart from the continuous patterning of foils, the ability to control heating and cooling in a dynamic way, i.e. by heating only the area in contact with the stamp. R2R processes take up advantages of soft NIL and S&R NIL, but need a good control of process parameters and dynamic parameters optimization [14].

4 Applications

The advantages of NIL come into play if high resolution is needed over a large area. NIL applications can be divided into two main categories. In the first category, pattern transfer applications, the imprinted resist structure is used as a temporary masking layer for a subsequent pattern-transfer step. In the second category, polymer devices, the imprinted pattern adds functionality to the polymer film, which is the end product. Because NIL has both aspects of classical lithography, i.e., PL (as a parallel method) and EBL (as a serial method mostly dedicated to mask making and research), but also of moulding techniques (diffractive optical elements, holograms, antireflective and superhydrophobic surfaces), NIL needs to be considered as a toolbox rather than a single technique. In addition to PL, NIL, because of its ability to be used for low-cost applications, will also be considered for some applications, in which lithography is too costly and does not provide sufficient resolution and/or throughput. This is particularly true for large-area applications such as magnetic media, as in the surfaces of hard disks, where patterning has not been used until now and costs need to be kept within a few cents per device. Several applications are summarized in Figure 4.

Figure 4. Potential applications requiring nanopatterning techniques such as nanoimprint lithography for high volume production.

The workhorse of the modern chip manufacturing by industry is PL, a parallel process enabling to pattern entire wafers (currently 300 mm). In this process, light shining through a transmission mask is de-magnified (factor 4) and used to project the image of the mask’s absorption pattern on a silicon wafer covered with a photosensitive polymer called a resist. The current (2017) ITRSnode is 14 nm, which is achieved using light with a wavelength of 193 nm and sophisticated optical techniques to circumvent diffraction limits. Double or even quadruple patterning (i.e., four masking steps for one layer in a complex chip design) is needed to achieve the requirements of the node. NIL for IC-chip manufacturing is exclusively pursued by CNT. By acquiring the Austin-based MII in 2014, Canon tries to establish NIL as an alternative to EUV-PL, for the 11 nm and 7 nm node. The application is entirely dedicated using the MII’s J-FIL (jet and flash imprint lithography, formerly S-FIL, step and flash imprint lithography), which applies hard (quartz) stamps and inkjetted resist in a step-and-repeat mode. The first application will be NAND storage, which is a HVM application with relaxed but still challenging requirements on overlay and defectivity. DRAM and logic, which are more challenging, will also be considered as future applications. The tools have now been installed at Toshiba and Hynix for pre-production tests (2017) but no production is confirmed until now. Another competitor for NIL is EUV-PL (13.5 nm).

Bit patterned media (BPM) for hard-disk drives (HDD) has been the first application envisaged for NIL and long be seen as the champion for HVM employing NIL, with it need to pattern small (sub-20nm) lands over an entire disk surface (2 or 3 inch) as individually separated magnetic storage elements. In comparison to IC-chip manufacturing, the requirements on overlay and defectivity are much relaxed, since missing bits can be compensated and only single layer patterning is required. All major companies (Seagate/Samsung, Western Digital/Hitachi, Toshiba) have run programs. By putting priority on heat assisted recording (HAR), patterning substrates have been put on hold in 2015 and will be – if ever – taken up by industry later when HAR will come to its limits. NIL was entirely new for prepatterning hard disks. The high resolution masters (sub-20 nm) were fabricated using electron beam lithography and resolution enhancement by directed self-assembly of block polymers spacer etching. Production is confirmed (e.g. by the company Obducat) for micro-patterned sapphire substrates (µPSS) , which are needed to grow GaN layers for the fabrication of light emitting diodes (LEDs) with low defects and to enhance light extraction. The main reason is that NIL is used is not so much on the resolution, but that it offers low cost-of-ownership over the use of (refurbished) photosteppers (with 1:1 projection), which have until now been used. The resolutions currently needed are in the micrometer range, but can be extended to nanopatterend substrates as well (nPSS). The big advantage of NIL with flexible stamps is the possibility to print over defects in current 100 mm sapphire substrates.

It is expected that micro- and nano-optics (e.g. antireflective gratings on photovoltaic cells with improved efficiency, wire-grid polarizers, backlight and front light illumination of screens and light panels), plasmonic and photonic devices, as well as bio-applications (for DNA analysis or as templates for cell growth) will profit from the introduction of NIL. Often these applications do not use NIL as lithography, i.e., by using a pattern transfer step. The markets are currently still much smaller than those of IC-chip HVM, however, they are expected to grow rapidly. Also the margins are much lower, e.g., if NIL is used in housing applications, but patterning entire glass windows for light control, antireflection or reduction of contamination.

NIL has thus proved successful in completely different applications from semiconductor manufacturing, where extreme resolution and the overlay of different levels do not play such a big role. Thanks to the range of optical effects possible with diffractive gratings or specific surface textures, numerous further applications seem possible. These include “Gecko” surfaces with engineered adhesion, “shark-skin” surfaces that reduce drag in water, and even LEGO bricks with structural rather than pigment- based colouring.

5 Tools

The first tools were simple presses, modified anodic bonders or converted mask aligners. Similarly, for S&R NIL, flip-chip bonders were converted by including heating elements, lamps for illumination, pressure, lateral alignment and tilt control. In all cases, the aim was to achieve a homogeneous contact between the stamp and the resist coated substrate, which needed to be maintained while the moulding was going on. In the case of mask aligners and anodic bonders, their alignment capability was instrumental to achieve overlay. Today, there are specialized tools for NIL, pressurized membrane behind the stamp to allow for maintaining a homogeneous pressure and solutions allowing for sub-10 nm alignment. Particularly interesting are solutions using soft elements, i.e. bendable stamps, which have now also reached sub-100 nm resolution due to material developments and hybrid approaches. Although different in terms of process and pressure, it has to be noted that all NIL machines include hard and soft elements, enabling both alignment and conformal imprint over non-perfect substrate surfaces. Some of these solutions are presented in Figure 5.

Figure 5. Hard and soft tool concepts and setup for NIL (adapted from Ref. 1).

The current trend goes towards integrated solutions in which spin coating, pre- and postbake, enabling high reproducibility, high throughput and low contamination. Low defectivity is enabled by reduction of particles in global environment (flow boxes), but also by micro-environment (local air flow curtains transporting particles away from the imprint area). Such solutions are provided by CNT, Obducat, EVG and Philips. Particularly in the case of IC-chips, extensive research was made on materials to reduce contamination by abrasion of ceramic bearings, and filtering particles from resists.

The high resolution demonstrated by NIL seems to be limited only by the availability of suitable stamps, which in contrast to PL need to have the same resolution than the printed features. The stamps are, similarly to the masks for PL, fabricated using EBL, in which focused electrons are used to pattern a resist directly, offers a higher resolution than photolithography, but the difficulty in producing large volumes of wafers typically limits the technique to mask-generation or research purposes. Additionally to photomasks care has to be taken that stamps have vertical sidewalls and exhibit sufficient antiadhesive properties towards the resist. This is often accomplished by coating it with an anti-sticking layer (ASL) from fluorinated monomers. As with imprint tool, companies are offering resists, ASL, stamps and services for stamp fabrication and copying.

6 Perspective and Summary

After 20 years of development, NIL is now a mature patterning process and is already employed on an industrial scale for LED manufacturing. Other applications have been tested for production, some (e.g., patterned magnetic media) have been put on hold but contributed much to the development of large area high resolution patterning. Future progress will depend on applications that need simple solutions for large areas to be imprinted quickly, and to do so reliably and with few defects. Applications such as semiconductor IC-chip manufacturing with highly standardized by challenging processes and tools ensure that NIL will continue to drive key industrial domains. Meanwhile new applications will profit from the broad process variants available, which can be adapted to large areas, non-flat surfaces and functional materials. These applications, along with many bio-applications such as surface patterns for enabling DNA sequencing and controlling cell growth, seem a world away from the original NIL process. They profit from a toolbox for stamp manufacturing that has been established during the past years, which includes 3D surface patterning and replication by different moulding processes such as injection moulding and roll embossing10. This has been complemented by European projects such as NaPa and NaPANIL, which have resulted in a “library of processes” that makes NIL more accessible to researchers and engineers [9]. All solutions need to tackle all requirements on master production (origination), tooling (production of working stamps), imprint manufacturing, pattern transfer (conversion into the desired material). Particularly challenging will be the fabrication of seamless large area stamps, the use of stamps in HVM (with more than 10’000 imprints per stamp), inline defect identification and control.

NIL is still known for its high resolution, unmatched by other parallel top-down methods, which is enabled because mechanical displacement can be very well controlled within nanometers and even below. Today, NIL is mostly advertised for its cost-effectivity, particularly in comparison to other high resolution techniques. This is complemented by thefreedom of materials, scalability of area, bonding, transfer and build-up of entire layer, compatibility with S&R and R2R techniques. In the future, similarly to inkjet, NIL will be employed on non-flat surfaces by using robots.

7 Acknowledgements

The NIL process development is the result of many researchers, engineers and technologists participating in the development of tools, materials, process variants and applications. We are both lucky that NIL was neither created for a niche research application nor for HVM of semiconductor IC-chips alone. Both research communities and industrial players have contributed to the ascent of NIL to become one of the manufacturing techniques which will open up markets for nanopatterned products. The main achievements are presented at the International Conference of Nanoprint and Nanoimprint Technology (NNT) and other conferences focused on nanolithography and nanopatterning [15]. My particular thanks go to all researchers working collaboratively on the understanding of process issues and development of the toolbox for NIL, particularly to those who worked on the NaPa or NaPANIL library of processes

8 References

There are a vast number of references on NIL, with several reviews and books (or book chapters) on patterning techniques and particularly on nanoimprint lithography. Since much technological development is not presented in scientific literature (with more patents on NIL processes than scientific publications), it is advisable to use different sources. NIL is a much wider process than PL, and also addresses applications which have never been considered for patterning, it is valuable to scan the literature for other terms such as LIGA-technology, injection moulding, roll-to-roll processes, printing, embossing.

[1] Schift, Helmut. “Nanoimprint lithography: An old story in modern times? A review.”Journal of Vacuum Science Technology B 26, no. 2 (2008): 458-480.[1]

[2] Schift, Helmut and Kristensen, Anders, “Nanoimprint lithography – patterning resists using molding.” Chapter (Part A/5) in “Handbook of Nanotechnology”, Volume editor Bharat Bhushan, fourth edition, revised and extended, 2017, Springer Verlag Berlin Heidelberg, Germany.[2]

[3] Resnick, Doug and Schift, Helmut. “Nanoimprint lithography.” Chapter (12) in “Microlithography - Science and Technology,” editors, Kazuaki Suzuki and Bruce W. Smith, 3rd edition, CRC Press, 2017.[3]

[4] Fujimori, Susumi. “Fine pattern fabrication by the molded mask method (nanoimprint lithography) in the 1970s”, Japanese Journal of Applied Physics 48 (2009): 06FH01 (7 pp).[4]

[5] Chou, Stephen Y., Peter R. Kraus, and Preston J. Renstrom. “Imprint of sub-25 nm vias and trenches in polymers.”Applied Physical Letters,67, no 21 (1995): 3114-3116.[5]

[6] Chou, Stephen Y, Peter R. Krauss, Wei Zhang, Lingjie Guo, and Lei Zhuang. “Sub-10 nm imprint lithography and applications.”Journal of Vacuum Science Technology B15, no. 6 (1997): 2897-2903.[6]

[7] Haisma, Jan, Martin Verheijen, Kees van den Heuvel, and Jan van den Berg. “Mold-assisted lithography: a process for reliable pattern replication.”Journal of Vacuum Science Technology B14 (1996): 4124-4128.[7]

[8] Colburn, Matthew, Stephen C. Johnson, Michael D. Stewart, S. Damle, Todd C. Bailey, Bernard Choi, M. Wedlake, Timothy B. Michaelson, S. V. Sreenivasan, John G. Ekerdt, C. Grant Willson. “Step and flash imprint lithography: a new approach to high-resolution patterning.”Proceeding of SPIE 3676 (1999): 379-389.[8]

[9] Schift, Helmut (Ed.): NaPa Library of Processes (NaPa-consortium, 2008, 3rd ed. 2014), available at the Deutsche Nationalbibliothek and online: http://www.psi.ch/lmn/helmut-schift/ (last access March 2017).

[10] Schift, Helmut, “Nanoimprint lithography: 2D or not 2D? A review.”Applied Physics A 121, no2 (2015): 415-435.[9]

[11] Xia, Younan and George M. Whitesides, “Soft lithography.”Angewandte Chemie International 37 (1198): 550-575.

[12] Ji, Ran, Michael Hornung, Marc A. Verschuuren, Robert van de Laar, Jan van Eekelen, Ulrich Plachetka, Michael Moeller, and Christian Moormann, “UV enhanced substrate conformal imprint lithography (UV-SCIL) technique for photonic crystals patterning in LED manufacturing.”Microelectronic Engineering 87, no 5–8 (2010): 963-967.

[13] Emoto, Keiji, Fumio Sakai, Chiaki Sato, Yukio Takabayashi, Hitoshi Nakano, Tsuneo Takabayashi, Kiyohito Yamamoto, Tadashi Hattori, Mitsuru Hiura, Toshiaki Ando, Yoshio Kawanobe, Hisanobu Azuma, Takehiko Iwanaga, Jin Choi, Ali Aghili, Chris Jones, J. W. Irving, Brian Fletcher, and Zhengmao Ye. “Defectivity and particle reduction for mask life extension, and imprint mask replication for high-volume semiconductor manufacturing.” Journal of Micro/Nanolithography MEMS MOEMS15, no 2 (2016):021006.

[14] Kooy, Nazrin, Khairudin Mohamed, Lee Tze Pin, and Ooi Su Guan, “A review of roll-to-roll nanoimprint lithography.”Nanoscale Research Letters 9, no 1 (2014): 320 (13 pp.).[10]

[15] Online: http://www.nntconf.org, (last access March 2017)